icarus

generate if以外にも制限事項があった。parameterで実数計算が出来ないのだ。これは出来て欲しかった。ちなみにcverでは実数計算が出来た。

module hoge;

   parameter HOGE = 3 + 2.0; // NG on icarus
   //parameter HOGE= (3 - 2); // OK on icarus

   initial begin
      $display("hoge");
   end

endmodule // hoge

I found that icarus cannot calculate real parameters. I haven't expected it.