icarus veilog + gtkwave

icarus verilogGNUライセンスのverilog Simulatorで、gtkwaveは波形表示ツールである。
これに対して、GNUライセンスのverilog統合開発環境としてIVIが存在する。こちらは一瞬ModelSIMを連想させるようなスクリーンショットでよさげに見えるのだが、内部で呼び出しているSimulatorがicarusからcverに変わったり、独自GUIからEclipseと統合に代わり変化が激しいので様子見が良さそうである。私はCUI+最小限のGUIで十分(のはず)なのでicarus + gtkwaveの環境を立ち上げてみた。

ちなみにicarusとcverの比較であるが、icarusはスピードが早いが、現在リリースされているVersion0.8ではsignedの取扱いでバグがあるらしい(SnapshotではFix済み)
cverはより安定しているものの、乱数で値をスクランブルするようなシミュレーションはどうしようもなく遅いらしい(参照元)

debianで導入するにはapt-get install icarus gtkwaveでOK。

基本的な使い方はicarusのWikiが非常に分かり易いのでこちらでは割愛

日本語での情報源は以下を参照の事

一応立ち上げてSimulationの波形を確認する所まで行った。商用ソフトに及ばないのか、もしくは十分実用に耐えるのかが気になる所であるが、それはもう少し使ってみないと分からないな。
I installed icarus verilog and gtkwave. It seems to work fine. But I need to check further if it is really OK to develop complicated logics.